System-Level Memory Optimization for High-Level Synthesis of Component-Based SoCs

The design of specialized accelerators is essential to the success of many modern systems-on-chip. Electronic system-level design methodologies and high-level synthesis tools are critical for the efficient design and optimization of an accelerator. Still, these methodologies and tools offer only limited support for the optimization of the memory structures, which are often responsible for most of the area occupied by an accelerator. To address these limitations, the authors present a novel methodology to automatically derive the memory sub-systems of SoC accelerators. Their approach enables compositional design-space exploration and promotes design reuse of the accelerator specifications.

Subscribe to the Developer Insider Newsletter

From the hottest programming languages to commentary on the Linux OS, get the developer and open source news and tips you need to know. Delivered Tuesdays and Thursdays

Subscribe to the Developer Insider Newsletter

From the hottest programming languages to commentary on the Linux OS, get the developer and open source news and tips you need to know. Delivered Tuesdays and Thursdays

Resource Details

Provided by:
Association for Computing Machinery
Topic:
Networking
Format:
PDF